Version 5.0.0 bug - No src=none file write.

More
21 Nov 2016 09:32 #56133 by bjr981s
Tried reporting this via the bug tracker but my account will not let me log on?

If you create a mix with a source of None. Like a fixed output switch.

Everything works fine until you read the model file and reimport to another slot or radio.

The problem is, the mix with a src = none when saved to the Models folder saves with an entry that src = AIL

This subsequently messes up the mix and has AIL input and AIL trim included in the mix.

The code should write back src = None.

You can fix this by redacting the src = AIL line.

Cheers

How do I get access to the bug tracker?

Please Log in or Create an account to join the conversation.

Time to create page: 0.023 seconds
Powered by Kunena Forum